Aliases

An Example


TYPE mvl IS ('U', '0', '1', 'Z');
TYPE trinary IS ('0', '1', 'Z');

ALIAS mvl0 IS '0' [RETURN mvl];
ALIAS tri0 IS '0' [RETURN trinary];


PROCEDURE preset_clear (SIGNAL drv: mvl_vector;
    pc_value: INTEGER);
PROCEDURE preset_clear (SIGNAL drv: bit_vector;
    pc_value: INTEGER);

ALIAS pcmvl IS preset_clear(mvl_vector, INTEGER);
ALIAS pcbit IS preset_clear(bit_vector, INTEGER);