library ieee; use ieee.std_logic_1164.all; use work.cypress.all; entity ssncomb is port ( -- inputs signal A: in std_logic; -- MSB signal B: in std_logic; signal C: in std_logic; signal D: in std_logic; -- LSB -- outputs signal F1: out std_logic; signal F2: out std_logic; signal F3: out std_logic; signal F4: out std_logic ); -- this pin assignment is specifically for 22V10! attribute pin_numbers of ssncomb:entity is "A:2 B:3 C:4 D:5 " & " F1:22 F2:21 F3:20 F4:19"; end ssncomb; --- STUDENTS: DO NOT MODIFY ANY STATEMENTS above this line --************************************************************************* -- Architecture body --************************************************************************* architecture a of ssncomb is -- Solution for SSN 458 70 2198 begin --- STUDENTS: Put your statements starting on the next line F1 <= (B and (not C)) or (A and (not D)); F2 <= ( (not A) and (not B) and (not C) and (not D)) or (B and C and D); F3 <= A or ((not B) and (not C) and D) or ((not B) and C and (not D)); F4 <= A or (not C) or (B and D) or ((not B) and (not D)); end a;