| | | | | | | _________________ -| |- -| |- -| |- -| CYPRESS |- -| |- -| |- Warp VHDL Synthesis Compiler: Version 4 IR x95 -| |- Copyright (C) 1991, 1992, 1993, |_______________| 1994, 1995, 1996, 1997, 1998 Cypress Semiconductor | | | | | | | ====================================================================== Compiling: smux4.vhd Options: -d c22v10 -v1 -o2 -fo smux4.vhd ====================================================================== vhdlfe V4 IR x95: VHDL parser Thu May 10 10:05:42 2001 Library 'work' => directory 'lc22v10' Linking '/opt/ecad/warp/lib/common/work/cypress.vif'. Library 'ieee' => directory '/opt/ecad/warp/lib/ieee/work' Linking '/opt/ecad/warp/lib/ieee/work/stdlogic.vif'. vhdlfe: No errors. tovif V4 IR x95: High-level synthesis Thu May 10 10:05:42 2001 Linking '/opt/ecad/warp/lib/common/work/cypress.vif'. Linking '/opt/ecad/warp/lib/ieee/work/stdlogic.vif'. tovif: No errors. topld V4 IR x95: Synthesis and optimization Thu May 10 10:05:42 2001 Linking '/opt/ecad/warp/lib/common/work/cypress.vif'. Linking '/opt/ecad/warp/lib/ieee/work/stdlogic.vif'. ---------------------------------------------------------- Detecting unused logic. ---------------------------------------------------------- ------------------------------------------------------ Alias Detection ------------------------------------------------------ ------------------------------------------------------ Aliased 0 equations, 0 wires. ------------------------------------------------------ ---------------------------------------------------------- Circuit simplification ---------------------------------------------------------- ---------------------------------------------------------- Circuit simplification results: Expanded 0 signals. Turned 0 signals into soft nodes. Maximum expansion cost was set at 1. ---------------------------------------------------------- Created 15 PLD nodes. topld: No errors. ---------------------------------------------------------------------------- PLD Optimizer Software: DSGNOPT.EXE 11/NOV/97 [v4.02 ] 4 IR x95 DESIGN HEADER INFORMATION (10:05:42) Input File(s): smux4.pla Device : C22V10 ReportFile : smux4.rpt Program Controls: None. Signal Requests: GROUP DT-OPT ALL GROUP FAST_SLEW ALL Completed Successfully ---------------------------------------------------------------------------- PLD Optimizer Software: DSGNOPT.EXE 11/NOV/97 [v4.02 ] 4 IR x95 OPTIMIZATION OPTIONS (10:05:42) Messages: Information: Optimizing logic using best output polarity for signals: y_0 y_1 y_2 y_3 Summary: Error Count = 0 Warning Count = 0 Completed Successfully ---------------------------------------------------------------------------- PLD Optimizer Software: MINOPT.EXE 11/NOV/97 [v4.02 ] 4 IR x95 LOGIC MINIMIZATION (10:05:42) Messages: Summary: Error Count = 0 Warning Count = 0 Completed Successfully ---------------------------------------------------------------------------- PLD Optimizer Software: DSGNOPT.EXE 11/NOV/97 [v4.02 ] 4 IR x95 OPTIMIZATION OPTIONS (10:05:42) Messages: Information: Optimizing Banked Preset/Reset requirements. Summary: Error Count = 0 Warning Count = 0 Completed Successfully ---------------------------------------------------------------------------- PLD Compiler Software: PLA2JED.EXE 11/NOV/97 [v4.02 ] 4 IR x95 DESIGN EQUATIONS (10:05:42) y_0 = /s_2 * /s_1 * s_0 * /a_0 + /s_2 * /s_1 * /s_0 * a_0 + s_1 * s_0 * /b_0 + s_1 * /s_0 * b_0 + s_2 * s_1 y_1 = /s_2 * /s_1 * s_0 * /a_1 + /s_2 * /s_1 * /s_0 * a_1 + s_1 * s_0 * /b_1 + s_1 * /s_0 * b_1 + s_2 * s_1 y_2 = /s_2 * /s_1 * s_0 * /a_2 + /s_2 * /s_1 * /s_0 * a_2 + s_1 * s_0 * /b_2 + s_1 * /s_0 * b_2 + s_2 * s_1 y_3 = /s_2 * /s_1 * /s_0 * a_3 + /s_2 * /s_1 * s_0 * /a_3 + s_1 * /s_0 * b_3 + s_1 * s_0 * /b_3 + s_2 * s_1 Completed Successfully ---------------------------------------------------------------------------- PLD Compiler Software: PLA2JED.EXE 11/NOV/97 [v4.02 ] 4 IR x95 DESIGN RULE CHECK (10:05:42) Messages: None. Summary: Error Count = 0 Warning Count = 0 Completed Successfully ---------------------------------------------------------------------------- PLD Compiler Software: PLA2JED.EXE 11/NOV/97 [v4.02 ] 4 IR x95 DESIGN SIGNAL PLACEMENT (10:05:42) Messages: Information: All signals pre-placed in user design. C22V10 __________________________________________ not used *| 1| |24|* not used a_3 =| 2| |23|* not used a_2 =| 3| |22|= y_3 a_1 =| 4| |21|= y_2 a_0 =| 5| |20|= y_1 b_3 =| 6| |19|= y_0 b_2 =| 7| |18|* not used b_1 =| 8| |17|* not used b_0 =| 9| |16|* not used not used *|10| |15|= s_2 not used *|11| |14|= s_1 not used *|12| |13|= s_0 __________________________________________ Summary: Error Count = 0 Warning Count = 0 Completed Successfully Information: Checking for duplicate NODE logic. ---------------------------------------------------------------------------- PLD Compiler Software: PLA2JED.EXE 11/NOV/97 [v4.02 ] 4 IR x95 RESOURCE ALLOCATION (10:05:42) Information: Macrocell Utilization. Description Used Max ______________________________________ | Dedicated Inputs | 9 | 11 | | Clock/Inputs | 0 | 1 | | I/O Macrocells | 6 | 10 | ______________________________________ 15 / 22 = 68 % Information: Output Logic Product Term Utilization. Node# Output Signal Name Used Max ________________________________________ | 14 | Used As Input | 0 | 8 | | 15 | Used As Input | 0 | 10 | | 16 | Unused | 0 | 12 | | 17 | Unused | 0 | 14 | | 18 | Unused | 0 | 16 | | 19 | y_0 | 5 | 16 | | 20 | y_1 | 5 | 14 | | 21 | y_2 | 5 | 12 | | 22 | y_3 | 5 | 10 | | 23 | Unused | 0 | 8 | | 25 | Unused | 0 | 1 | ________________________________________ 20 / 121 = 16 % Completed Successfully ---------------------------------------------------------------------------- PLD Compiler Software: PLA2JED.EXE 11/NOV/97 [v4.02 ] 4 IR x95 JEDEC ASSEMBLE (10:05:42) Messages: Information: Output file 'smux4.jed' created. Summary: Error Count = 0 Warning Count = 0 Completed Successfully at 10:05:42