echo "*********VHDL COMMANDS*********" vhdl typeconv.vhd vhdl fparesc.vhd vhdl print.vhd vhdl fpa.vhd vhdl bench.vhd echo "*********MG COMMANDS*********" mg type_conversion mg -body type_conversion mg fparesc mg -body fparesc mg print mg -body print mg "FLOATING_POINT_ADDER(MIXED)" mg -top "bench_fpa(mixed)" echo "*********VLS COMMANDS*********" vls delete -all -ker mixed echo "*********BUILD COMMANDS*********" build "bench_fpa(mixed)" echo "*********SIM COMMANDS*********" time sim -notrace mixed