addsub_cla.vhd


This code is used to synthesize the add/sub block of the ALU using Synopsys
This block adds the two 32 bit values in A and B buses along with the carry-in CI when ADD_SUB = 0 and subtracts the values when ADD_SUB = 1.The output is obtained in the SUM bus and the carry-out in CO